• our science our science Our Science
    • By Industry

      • Life Sciences

      • Microelectronics

        • Semiconductor

        • Data Storage

        • Flat Panel Display

        • LED

        • Solar

      • Industrial

        • Aerospace

        • Chemical Manufacturing

        • Chromatography and Analytical

        • Coatings, Inks, and Adhesives

        • EDM

        • General Industrial

        • Glass Forming

        • Polymer Manufacturing

        • Water Treatment

    • By Role

      • Logic Manufacturers

      • Memory Manufacturers

      • Semiconductor Equipment Manufacturers

      • Chemical Suppliers

      • Bioprocessors

    • By Solution Area

      • Contamination Control

      • Fluid Management

      • Life Sciences

      • Specialty Materials

      • Substrate Handling

    • By Service

      • Analytical Services

      • Field Support Services

      • FOUP Services

      • Refurbishment Services

      • Regeneration Services

      • Technology Centers

        • China Technology Center

        • Life Sciences Technology Center

  • product catalog product catalog Product Catalog
    • Bare Die/CSP Trays

      • Tray Wizard

      • H20 Series Accessories

      • H44 Series Accessories

    • Chemistries

      • Chemical Delivery Systems

        • Solid Chemical Delivery Systems

        • Liquid Chemical Delivery Systems

      • Specialty Chemicals

        • Catalysts

        • Coating, Ink, and Adhesive Ingredients

          • Resin Monomers and Components

          • Surface Modifiers

        • Post-CMP Cleaning Solutions

          • Semiconductor Cleaning Solutions

            • PlanarClean® Cleaning Solutions

            • ESC Cleaning Solutions

          • Wide Band Gap Semiconductor Cleaning Solutions

          • HDD Media Substrate Cleaning Solutions

        • Post Etch Cleaning Solutions

          • TitanKlean® Cleaning Solutions

          • ST Cleaning Solutions

          • NOE Etchant Cleaning Solutions

        • Precursors

          • Upstream ALD/CVD Precursors

          • Advanced Deposition Materials (ADM) ALD/CVD Precursors

        • Electronic Chemicals

        • Slurries

        • Silanes

        • Organophosphorus Compounds

        • Specialty Acrylates and Methacrylates

        • Siloxanes

        • Specialty Additives

        • Ligands

        • Wafer Reclaim

    • Fluid Management Systems

      • Fittings Selection Tool

      • Liquid Packaging

        • Chemical and Bioprocessing Containers

          • FluoroPure® HDPE Blowmolded Drums

            • FluoroPure® Advantage Trilayer HDPE Blowmolded Drums

            • FluoroPure® Trilayer HDPE Blowmolded Drums

          • FluoroPure® Composite Drums

          • FluoroPure® Custom Products

        • Single-Use Assemblies

          • 2D and 3D Bags

          • Mixing Systems

          • Motion Bioreactor Bags

          • Microcarrier and Cell Separation Systems

          • Secondary Containment

        • NOWPak® Liner Based Systems

        • Sentry® Quick Connect Systems

        • FluoroPure® Intermediate Bulk Containers

        • FluoroPure® Port Options, Tools and Accessories

        • Tube Sets and Manifolds

        • Custom Dip Tubes, Tanks, and Containers

        • FluoroPure® Pressure Vessels

        • Process Tanks

      • Valves Selection Tool

      • Fluid Handling

        • Fittings

          • PrimeLock® Tube Fittings

          • PrimeLock® ESD Tube Fittings

          • PrimeLock® Accessories

          • Flaretek® Tube Fittings

          • Flaretek® Accessories

          • Cynergy® Fittings

          • Cynergy® Accessories

          • EP PFA Flare Fittings

          • EP PFA Flare Fitting Accessories

          • PureBond® Welded Tube Fittings

          • Quikgrip® Tube Fittings

          • Quikgrip® PFA Nuts

          • Integral Ferrule Tube Fittings

          • Integral Ferrule Accessories

          • Barb PFA Tube Fittings

          • Dual Containment Fittings

          • PureBond® Welded Pipe Fittings

          • PureBond® Accessories

          • NPT Pipe Fittings

          • NPT Accessories

        • Valves

          • CR and CH Series Valves

            • CR4 Series Valves

            • CR8 Series Valves

            • CH8 Series High-Temperature Valves

          • Integra® Valves

          • Cynergy® Valves

          • EP PFA Valves

          • Manifolds and Assemblies

          • Plug Valves

          • Needle/Metering Valves

          • Stopcock Valves

          • Check Valves

          • Valve Accessories

        • Fluid Handling Accessories

          • Spray Products

          • Aspirators

          • Fasteners

        • Valves Selection Tool

        • Fittings Selection Tool

        • Tubing and Pipe

        • Custom Products

      • Process Monitoring

        • Process Control

          • Integrated Flow Controllers

          • NT™ Proportional Control Valves

          • Photochemical Dispense Pumps

        • Process Measurement

          • Concentration Monitors

          • Electronic Flowmeters

          • NT™ Pressure Transducers

        • Particle Characterization

    • Gas Filtration and Purification

      • Gas Diffusers

        • Chambergard™ Gas Diffusers

      • Gas Filters

        • Other Gas Filters

        • In-Line PTFE Gas Filters

        • In-Line Stainless Steel Gas Filters

        • In-Line Nickel Gas Filters

        • Surface Mount Gas Filters

      • AMC Filters

        • Stepper and Scanner Filters

        • Process Tool Air Filters

        • Facility Filtration

        • Filter Housings and Stand-alone Filter Cabinets

      • Gas Purifiers

    • Hard Disk Component Handling

      • Storage Boxes and Individual Disk Packages

      • Read-Write Trays and Carriers

      • Disk Shippers

      • Disk Process Carriers

    • Liquid Filtration and Purification

      • Liquid Filters

      • Liquid Purifiers

      • Liquid Filter Housings

    • Mask and Reticle Handling

      • Reticle Pods and Purge Cabinets

      • Mask Carriers and Shipping Boxes

      • Mask Packages

    • Other Substrate Handling

      • Miscellaneous Device Processing

      • Solar Cell Solutions

    • Specialty Materials

      • Premium Graphite

        • Industrial Graphite Grades

        • Semiconductor Graphite Grades

        • Life Sciences Graphite Grades

        • Premium Graphite Components

        • Glass Forming Graphite Grades

        • GLASSMATE® Components

        • Graphite Processing Options

      • Coatings

        • Chucks

        • Plasma Enhanced Chemical Vapor Deposition (PECVD) Coatings

        • Caerus™ Coating Technology

        • Pegasus™ Coating Technology

      • Premium Silicon Carbide

        • SUPERSiC<sup>®</sup> Silicon Carbide Components

        • SUPERSiC<sup>®</sup> Silicon Carbide

      • Advanced Cleaning Materials

    • Specialty Gases

      • Specialty Gas Mixtures

      • Gas Delivery Cylinder Systems

      • Gas Delivery Cabinet Systems

      • Gases

    • Wafer Handling

      • Wafer Processing

        • CMP Cleaning Brushes

        • CMP Pads

        • 300 mm Front Opening Unified Pods (FOUPs)

        • 200 mm Wafer Processing

        • 200 mm Accessories

        • 150 mm Wafer Processing

        • 150 mm and Smaller Wafer Carrier Accessories

        • 125 mm Wafer Processing

        • 100 mm Wafer Processing

        • 76.2 mm 3" Wafer Processing

        • 2.5" and Smaller Wafer Processing

        • Labware

        • Chucks

      • Wafer Shipping

        • 150 mm Wafer Shippers

        • 125 mm and Smaller Wafer Shippers

        • 300 mm Wafer Shippers

        • 200 mm Wafer Shippers

      • Finished Wafer Shipping

        • SmartStack® Contactless Horizontal Wafer Shipper

        • SmartStack® Horizontal Wafer Shippers

        • Film Frame Rings and Shippers

  • resources resources Resources
    • Reference Materials

    • Technical Information

      • Calculators and Tools

      • Chemical Compatibility

      • Chemlock® Filter Housing Technical Information

      • Component Technical Information

      • Entegris Test Standards and Protocols

      • FAQ

      • Formulas

      • Glossary

      • Material Properties and Safety

      • Particle Characterization Applications

    • Industry Insights

      • 3D NAND Solutions

      • Achieving Hydrogen Purity Standards for Fuel Cells

      • Advanced Coatings

      • Advanced Deposition Materials Innovation

      • Automotive Reliability

      • Cars and Chips: The Acceleration of Electronic Systems

      • Chasing the Perfect Pattern

      • Chemical Mechanical Planarization (CMP)

      • Clean Chemical Delivery

      • Clean Gas Delivery

      • CMP Process Monitoring

      • Cold Chain Bioprocessing

      • Dual Containment Tubing

      • Electrostatic Discharge (ESD) Prevention

      • Enabling Advanced Lithography

      • End-to-End Gas Filtration Solutions

      • Food and Beverage Contamination Control

      • Front-end to Back-end Solutions

      • Glass in Front; Glass in Back

      • High-Density Cell Banking

      • High Purity Chemical Manufacturing

      • Holistic Approach to Enabling Device Performance, Yield, and Reliability

      • Internet of Things

      • Low-Temperature Bioprocessing

      • More Than Just a Chip Tray

      • Operational Excellence

      • Pandemic Response

      • Particle Characterization

      • Pervasive Defectivity in Semiconductor Manufacturing

      • Protecting Your Data Center Environment from Gas-Phase Contamination

      • The Rare Air of the Semiconductor Fab

      • Silicon Carbide CMP Solutions

      • The Silicon Precursor Toolbox for Low-Temperature Deposition

      • Solid Precursors and Delivery Systems

      • Solutions in Advanced Logic

      • Solving Advanced Technology Challenges with Innovative Materials

      • Storage Class Memory

      • Targeted Contaminant Removal

      • Specialty Gases and Delivery Systems for Ion Implantation

      • Accelerating Speed-to-Yield with High-Purity Materials Solutions

    • Ensights (Blog)

    • Scientific Report

  • about us about us About Us
    • Corporate Overview

    • Corporate Social Responsibility

    • Careers

    • Events

    • Investor Relations

    • Legal/Trademark Notices

    • Locations

    • News Releases

    • Media Coverage

    • Entegris Blog

    • Manufacturing

Join us onsite at booth M240, 4th Floor in the Nangang Exhibition Center Hall I, to experience how we enable the development of the “Well-Managed Fab,” learn more about our advanced end-to-end solutions, and discuss with our experts how to partner together to solve the industry’s most complex challenges through the science of innovation.

At the entrance of the exhibition hall, there is a Spiritual Fortress—Future Fab, where we showcase how our core products can revolutionize the manufacturing in the semiconductor industry.

Featured Presentations

In addition to the exhibition, you are welcome to sign up for the presentations by Entegris’ Chief Technology Officer and Senior Principal Scientist.

Topic: Materials Processing for Scaled Devices (Strategic Materials Conference)

Date & Time:
2019.09.19 / 10:00-10:25AM
Location: Booth #M1148, Future Stage, 4F, TaiNEX 1
Speaker: Dr. Jun-Fei Zheng, Senior Principal Scientist

Topic: The Clean Chemical Ecosystem: Holistic Solutions for Improved Yield and Reliability (IC Forum)

Date & Time:
2019.09.20 / 09:30-09:55AM
Location: Room 401, 4F, TaiNEX 1
Speaker: Dr. James O’Neill, Chief Technology Officer

Featured News

Entegris Licenses RSP150 Reticle Pod Technology to CKplas of Taiwan

Entegris announces it has licensed its patented RSP150 technology to CKplas of Taiwan to use for the manufacturing and selling of reticle pods.

Featured Content

The Internet of Things

The demand for Internet of Things (IoT) devices and related applications is increasing process complexity and driving the need for improvements in materials quality, stability, and purity from manufacture to point of use. 

Solutions in Advanced Logic

Leading edge logic is being fueled by new industry drivers like AI, big data, and cryptocurrency. These current market trends are increasing the need for high performing chips.

Holistic Approach to Enabling Device Performance, Yield, and Reliability

Logic devices are getting smaller, and the introduction of 3D architectures that use vertical fins and nanowires in their gate design introduce more complexity to the fabrication process. As technology nodes shrink beyond 10 nm, new materials are required in both FEOL and BEOL processes to enable performance, yield, reliability and cost.

Clean Chemical Delivery

Maintain a clean chemical delivery environment with contamination-controlled chemical packaging, filtration, pumps, and fluid handling products that will increase product yield and reduce financial loss.

Challenges with Glass

As device feature sizes become finer, new root causes for device defects are being traced to glass bottles. That, combined with increasing concern over safety as fabs become larger and more automated, has sparked the industry to develop glass container alternatives.

Safe, Clean Chemical Delivery

To ensure a consistent chemical supply that meets the highest purity requirements of the semiconductor industry, look to our end-to-end chemical handling, transport, and delivery system solutions that satisfy regulatory requirements for purity and safety.

Front-end to Back-end solutions

Entegris provides full container solutions to monitor, protect, transport, and deliver those valuable wafers from the front-end through to the back-end of the fab.

Enabling Advanced Lithography

Extreme Ultraviolet reticle pods are highly-specialized pieces of equipment that fulfill a critical role in EUV lithography. They must protect the reticle during use, storage, and transportation while not introducing additional contamination or damage.

Automotive Reliability

As automotive electronics become more complex and prevalent, the cost of failure in these devices rises. Hidden defects caused by small particles, gels, metal ions, and organic contaminants can lead to failures throughout the vehicle’s life, escalating costs and increasing risk. 

Chasing the Perfect Pattern

Flaws in photolithography patterns have always had a negative impact on yield.  It is becoming more imperative than ever for semiconductor fabricators to prevent these pattern flaws to ensure the functionality and reliability of these devices.

End to End Gas Purification Solutions

Semiconductor device yields have long been impacted by contamination. Gas purity must extend through the full supply chain to prevent process excursions and improve device performance and reliability.

High Purity Chemical Manufacturing

The digital transformation we are all experiencing as consumers presents new challenges to material makers, as well as opportunities. Contamination control remains one of the largest challenge as integrated circuit (IC) technology advances. Better contamination control can significantly improve yield.

The Rare Air of the Semiconductor Fab

Microelectronics fabrication plants (fabs) are complex structures that require sophisticated air circulation and control. For management and energy savings, most of the conditioned air inside a fab is recirculated and may carry increasing amounts of contaminants that are produced internally, from humans, materials, chemicals, and equipment. 

Operational Excellence

Entegris focuses on material purity and preventing defects, our adherence to safety and environmental standards with technology such as SDS® cylinders, gas cabinets, and delivery systems, and our ability to supply locally around the world.

Advanced Coatings

Semiconductor processing at advanced nodes requires extreme levels of cleanliness to minimize the risk of yield loss associated with submicroscopic contaminants. We understand these challenges and offer precision-engineered coatings that extend tool life while improving device yield.   

Silicon Precursor

A toolbox approach to choosing the most appropriate precursor and plasma combination for a given application makes process development more efficient, benefiting semiconductor device manufacturers and their customer.

Safe Delivery Source® introducing SDS4®

Entegris SDS® gas cylinders introduced a new paradigm of safety and performance by storing and delivering gas at sub-atmospheric pressures.

Global Innovation is No Accident

Revolutionary subatmospheric gas delivery solutions.

Handling Wafers with E-chucks

Entegris is offering advanced and smart solutions through continuous technical innovation and collaboration for 20 years