• our science our science Our Science
    • By Industry

      • Life Sciences

      • Microelectronics

        • Semiconductor

        • Data Storage

        • Flat Panel Display

        • LED

        • Solar

      • Industrial

        • Aerospace

        • Chemical Manufacturing

        • Chromatography and Analytical

        • Coatings, Inks, and Adhesives

        • EDM

        • General Industrial

        • Glass Forming

        • Polymer Manufacturing

        • Water Treatment

    • By Role

      • Logic Manufacturers

      • Memory Manufacturers

      • Semiconductor Equipment Manufacturers

      • Chemical Suppliers

      • Bioprocessors

    • By Solution Area

      • Contamination Control

      • Fluid Management

      • Life Sciences

      • Specialty Materials

      • Substrate Handling

    • By Service

      • Analytical Services

      • Field Support Services

      • FOUP Services

      • Refurbishment Services

      • Regeneration Services

      • Technology Centers

        • China Technology Center

        • Life Sciences Technology Center

  • product catalog product catalog Product Catalog
    • Bare Die/CSP Trays

      • Tray Wizard

      • H20 Series Accessories

      • H44 Series Accessories

    • Chemistries

      • Chemical Delivery Systems

        • Solid Chemical Delivery Systems

        • Liquid Chemical Delivery Systems

      • Specialty Chemicals

        • Catalysts

        • Coating, Ink, and Adhesive Ingredients

          • Resin Monomers and Components

          • Surface Modifiers

        • Post-CMP Cleaning Solutions

          • Semiconductor Cleaning Solutions

            • PlanarClean® Cleaning Solutions

            • ESC Cleaning Solutions

          • Wide Band Gap Semiconductor Cleaning Solutions

          • HDD Media Substrate Cleaning Solutions

        • Post Etch Cleaning Solutions

          • TitanKlean® Cleaning Solutions

          • ST Cleaning Solutions

          • NOE Etchant Cleaning Solutions

        • Precursors

          • Upstream ALD/CVD Precursors

          • Advanced Deposition Materials (ADM) ALD/CVD Precursors

        • Electronic Chemicals

        • Slurries

        • Silanes

        • Organophosphorus Compounds

        • Specialty Acrylates and Methacrylates

        • Siloxanes

        • Specialty Additives

        • Ligands

        • Wafer Reclaim

    • Fluid Management Systems

      • Fittings Selection Tool

      • Liquid Packaging

        • Chemical and Bioprocessing Containers

          • FluoroPure® HDPE Blowmolded Drums

            • FluoroPure® Advantage Trilayer HDPE Blowmolded Drums

            • FluoroPure® Trilayer HDPE Blowmolded Drums

          • FluoroPure® Composite Drums

          • FluoroPure® Custom Products

        • Single-Use Assemblies

          • 2D and 3D Bags

          • Mixing Systems

          • Motion Bioreactor Bags

          • Microcarrier and Cell Separation Systems

          • Secondary Containment

        • NOWPak® Liner Based Systems

        • Sentry® Quick Connect Systems

        • FluoroPure® Intermediate Bulk Containers

        • FluoroPure® Port Options, Tools and Accessories

        • Tube Sets and Manifolds

        • Custom Dip Tubes, Tanks, and Containers

        • FluoroPure® Pressure Vessels

        • Process Tanks

      • Valves Selection Tool

      • Fluid Handling

        • Fittings

          • PrimeLock® Tube Fittings

          • PrimeLock® ESD Tube Fittings

          • PrimeLock® Accessories

          • Flaretek® Tube Fittings

          • Flaretek® Accessories

          • Cynergy® Fittings

          • Cynergy® Accessories

          • EP PFA Flare Fittings

          • EP PFA Flare Fitting Accessories

          • PureBond® Welded Tube Fittings

          • Quikgrip® Tube Fittings

          • Quikgrip® PFA Nuts

          • Integral Ferrule Tube Fittings

          • Integral Ferrule Accessories

          • Barb PFA Tube Fittings

          • Dual Containment Fittings

          • PureBond® Welded Pipe Fittings

          • PureBond® Accessories

          • NPT Pipe Fittings

          • NPT Accessories

        • Valves

          • CR and CH Series Valves

            • CR4 Series Valves

            • CR8 Series Valves

            • CH8 Series High-Temperature Valves

          • Integra® Valves

          • Cynergy® Valves

          • EP PFA Valves

          • Manifolds and Assemblies

          • Plug Valves

          • Needle/Metering Valves

          • Stopcock Valves

          • Check Valves

          • Valve Accessories

        • Fluid Handling Accessories

          • Spray Products

          • Aspirators

          • Fasteners

        • Valves Selection Tool

        • Fittings Selection Tool

        • Tubing and Pipe

        • Custom Products

      • Process Monitoring

        • Process Control

          • Integrated Flow Controllers

          • NT™ Proportional Control Valves

          • Photochemical Dispense Pumps

        • Process Measurement

          • Concentration Monitors

          • Electronic Flowmeters

          • NT™ Pressure Transducers

        • Particle Characterization

    • Gas Filtration and Purification

      • Gas Diffusers

        • Chambergard™ Gas Diffusers

      • Gas Filters

        • Other Gas Filters

        • In-Line PTFE Gas Filters

        • In-Line Stainless Steel Gas Filters

        • In-Line Nickel Gas Filters

        • Surface Mount Gas Filters

      • AMC Filters

        • Stepper and Scanner Filters

        • Process Tool Air Filters

        • Facility Filtration

        • Filter Housings and Stand-alone Filter Cabinets

      • Gas Purifiers

    • Hard Disk Component Handling

      • Storage Boxes and Individual Disk Packages

      • Read-Write Trays and Carriers

      • Disk Shippers

      • Disk Process Carriers

    • Liquid Filtration and Purification

      • Liquid Filters

      • Liquid Purifiers

      • Liquid Filter Housings

    • Mask and Reticle Handling

      • Reticle Pods and Purge Cabinets

      • Mask Carriers and Shipping Boxes

      • Mask Packages

    • Other Substrate Handling

      • Miscellaneous Device Processing

      • Solar Cell Solutions

    • Specialty Materials

      • Premium Graphite

        • Industrial Graphite Grades

        • Semiconductor Graphite Grades

        • Life Sciences Graphite Grades

        • Premium Graphite Components

        • Glass Forming Graphite Grades

        • GLASSMATE® Components

        • Graphite Processing Options

      • Coatings

        • Chucks

        • Plasma Enhanced Chemical Vapor Deposition (PECVD) Coatings

        • Caerus™ Coating Technology

        • Pegasus™ Coating Technology

      • Premium Silicon Carbide

        • SUPERSiC<sup>®</sup> Silicon Carbide Components

        • SUPERSiC<sup>®</sup> Silicon Carbide

      • Advanced Cleaning Materials

    • Specialty Gases

      • Specialty Gas Mixtures

      • Gas Delivery Cylinder Systems

      • Gas Delivery Cabinet Systems

      • Gases

    • Wafer Handling

      • Wafer Processing

        • CMP Cleaning Brushes

        • CMP Pads

        • 300 mm Front Opening Unified Pods (FOUPs)

        • 200 mm Wafer Processing

        • 200 mm Accessories

        • 150 mm Wafer Processing

        • 150 mm and Smaller Wafer Carrier Accessories

        • 125 mm Wafer Processing

        • 100 mm Wafer Processing

        • 76.2 mm 3" Wafer Processing

        • 2.5" and Smaller Wafer Processing

        • Labware

        • Chucks

      • Wafer Shipping

        • 150 mm Wafer Shippers

        • 125 mm and Smaller Wafer Shippers

        • 300 mm Wafer Shippers

        • 200 mm Wafer Shippers

      • Finished Wafer Shipping

        • SmartStack® Contactless Horizontal Wafer Shipper

        • SmartStack® Horizontal Wafer Shippers

        • Film Frame Rings and Shippers

  • resources resources Resources
    • Reference Materials

    • Technical Information

      • Calculators and Tools

      • Chemical Compatibility

      • Chemlock® Filter Housing Technical Information

      • Component Technical Information

      • Entegris Test Standards and Protocols

      • FAQ

      • Formulas

      • Glossary

      • Material Properties and Safety

      • Particle Characterization Applications

    • Industry Insights

      • 3D NAND Solutions

      • Achieving Hydrogen Purity Standards for Fuel Cells

      • Advanced Coatings

      • Advanced Deposition Materials Innovation

      • Automotive Reliability

      • Cars and Chips: The Acceleration of Electronic Systems

      • Chasing the Perfect Pattern

      • Chemical Mechanical Planarization (CMP)

      • Clean Chemical Delivery

      • Clean Gas Delivery

      • CMP Process Monitoring

      • Cold Chain Bioprocessing

      • Dual Containment Tubing

      • Electrostatic Discharge (ESD) Prevention

      • Enabling Advanced Lithography

      • End-to-End Gas Filtration Solutions

      • Food and Beverage Contamination Control

      • Front-end to Back-end Solutions

      • Glass in Front; Glass in Back

      • High-Density Cell Banking

      • High Purity Chemical Manufacturing

      • Holistic Approach to Enabling Device Performance, Yield, and Reliability

      • Internet of Things

      • Low-Temperature Bioprocessing

      • More Than Just a Chip Tray

      • Operational Excellence

      • Pandemic Response

      • Particle Characterization

      • Pervasive Defectivity in Semiconductor Manufacturing

      • Protecting Your Data Center Environment from Gas-Phase Contamination

      • The Rare Air of the Semiconductor Fab

      • Silicon Carbide CMP Solutions

      • The Silicon Precursor Toolbox for Low-Temperature Deposition

      • Solid Precursors and Delivery Systems

      • Solutions in Advanced Logic

      • Solving Advanced Technology Challenges with Innovative Materials

      • Storage Class Memory

      • Targeted Contaminant Removal

      • Specialty Gases and Delivery Systems for Ion Implantation

      • Accelerating Speed-to-Yield with High-Purity Materials Solutions

    • Ensights (Blog)

    • Scientific Report

  • about us about us About Us
    • Corporate Overview

    • Corporate Social Responsibility

    • Careers

    • Events

    • Investor Relations

    • Legal/Trademark Notices

    • Locations

    • News Releases

    • Media Coverage

    • Entegris Blog

    • Manufacturing

Entegris Celebrates 50 Years in Business

Company traces its roots to the 1966 founding of Fluoroware

PUBLISHED Jul 12, 2016
News Release
Download PDF (11.6 kB)

BILLERICA, MA  – Entegris, Inc. (NASDAQ: ENTG), a leader in specialty chemicals and advanced materials solutions for the microelectronics industry, is proudly celebrating its 50th year of helping customers solve their critical materials challenges and enhance their manufacturing yields.

“It has been an exciting journey for us that began with the emergence of Moore’s law and that has tracked the growth of the semiconductor industry into a $330 billion market,” noted Bertrand Loy, president and chief executive officer of Entegris, at the semiconductor industry’s annual SEMICON® West trade show in San Francisco. Mr. Loy continued: “Today, we are thrilled to be in a position to help enable the many innovations our customers continue to develop, not only in the microelectronics market but in an increasing array of industries.”

Entegris was formed in 1966 as Fluoroware, a startup company serving early microelectronics manufacturers. After merging with EMPAK in 1999 and rebranding itself Entegris, the company went public in 2000. The company expanded in 2005 with the merger with Mykrolis, a spinoff from Millipore, and again in 2014 with the successful acquisition of ATMI, a publicly held supplier of high-performance electronic chemicals. Today, with approximately 3,500 employees worldwide and more than $1 billion in annual revenue, Entegris stands as one of the largest global, high-performance, specialty chemical companies serving the microelectronics industry.

“Our success has been built on strong corporate values and has centered around teamwork, innovation and dedication to excellence, which have allowed us to introduce a number of market-leading product platforms throughout the years. We could not have achieved this milestone without the support of our customers and suppliers, and the dedication of our employees. I am grateful to all and I invite everyone to celebrate this milestone with us,” Mr. Loy added.

Over its 50-year history, Entegris has brought hundreds of innovations to its markets, including many technology “firsts.” Among its notable products are Integra® valves, Spectra™ FOUPs, PrimeLock® fittings, Torrento® liquid filters, SDS® safe gas delivery systems and NOWPak® liquid dispense systems. Industry “firsts” include the first linear wafer carrier, first wafer shipper, first wafer suspension system and the first 300mm FOUP (wafer carrier).

“I am truly excited about what lies ahead for Entegris. We are addressing dynamic markets that increasingly rely on the kind of high-performance purity solutions we are known for. With our strong technology platform and our relentless focus on operational excellence and innovation, we look forward to continuing to deliver for our customers and our strategic partners,” Mr. Loy concluded.

Entegris products will be on display during SEMICON West on the second floor of the W Hotel near the Moscone Convention Center. Or, for more information, visit the company’s website at www.entegris.com.

About Entegris

Entegris is a leader in specialty chemicals and advanced materials solutions for the microelectronics industry and other high-tech industries. Entegris is ISO-9001 certified and has manufacturing, customer service and/or research facilities in the United States, China, France, Germany, Israel, Japan, Malaysia, Singapore, South Korea and Taiwan. Additional information may be found at www.entegris.com.