• our science our science Our Science
    • By Industry

      • Life Sciences

      • Microelectronics

        • Semiconductor

        • Data Storage

        • Flat Panel Display

        • LED

        • Solar

      • Industrial

        • Aerospace

        • Chemical Manufacturing

        • Chromatography and Analytical

        • Coatings, Inks, and Adhesives

        • EDM

        • General Industrial

        • Glass Forming

        • Polymer Manufacturing

        • Water Treatment

    • By Role

      • Logic Manufacturers

      • Memory Manufacturers

      • Semiconductor Equipment Manufacturers

      • Chemical Suppliers

      • Bioprocessors

    • By Solution Area

      • Contamination Control

      • Fluid Management

      • Life Sciences

      • Specialty Materials

      • Substrate Handling

    • By Service

      • Analytical Services

      • Field Support Services

      • FOUP Services

      • Refurbishment Services

      • Regeneration Services

      • Technology Centers

        • China Technology Center

        • Life Sciences Technology Center

  • product catalog product catalog Product Catalog
    • Bare Die/CSP Trays

      • Tray Wizard

      • H20 Series Accessories

      • H44 Series Accessories

    • Chemistries

      • Chemical Delivery Systems

        • Solid Chemical Delivery Systems

        • Liquid Chemical Delivery Systems

      • Specialty Chemicals

        • Catalysts

        • Coating, Ink, and Adhesive Ingredients

          • Resin Monomers and Components

          • Surface Modifiers

        • Post-CMP Cleaning Solutions

          • Semiconductor Cleaning Solutions

            • PlanarClean® Cleaning Solutions

            • ESC Cleaning Solutions

          • Wide Band Gap Semiconductor Cleaning Solutions

          • HDD Media Substrate Cleaning Solutions

        • Post Etch Cleaning Solutions

          • TitanKlean® Cleaning Solutions

          • ST Cleaning Solutions

          • NOE Etchant Cleaning Solutions

        • Precursors

          • Upstream ALD/CVD Precursors

          • Advanced Deposition Materials (ADM) ALD/CVD Precursors

        • Electronic Chemicals

        • Slurries

        • Silanes

        • Organophosphorus Compounds

        • Specialty Acrylates and Methacrylates

        • Siloxanes

        • Specialty Additives

        • Ligands

        • Wafer Reclaim

    • Fluid Management Systems

      • Fittings Selection Tool

      • Liquid Packaging

        • Chemical and Bioprocessing Containers

          • FluoroPure® HDPE Blowmolded Drums

            • FluoroPure® Advantage Trilayer HDPE Blowmolded Drums

            • FluoroPure® Trilayer HDPE Blowmolded Drums

          • FluoroPure® Composite Drums

          • FluoroPure® Custom Products

        • Single-Use Assemblies

          • 2D and 3D Bags

          • Mixing Systems

          • Motion Bioreactor Bags

          • Microcarrier and Cell Separation Systems

        • NOWPak® Liner Based Systems

        • Sentry® Quick Connect Systems

        • FluoroPure® Intermediate Bulk Containers

        • FluoroPure® Port Options, Tools and Accessories

        • Tube Sets and Manifolds

        • Custom Dip Tubes, Tanks, and Containers

        • FluoroPure® Pressure Vessels

        • Process Tanks

      • Valves Selection Tool

      • Fluid Handling

        • Fittings

          • PrimeLock® Tube Fittings

          • PrimeLock® ESD Tube Fittings

          • PrimeLock® Accessories

          • Flaretek® Tube Fittings

          • Flaretek® Accessories

          • Cynergy® Fittings

          • Cynergy® Accessories

          • EP PFA Flare Fittings

          • EP PFA Flare Fitting Accessories

          • PureBond® Welded Tube Fittings

          • Quikgrip® Tube Fittings

          • Quikgrip® PFA Nuts

          • Integral Ferrule Tube Fittings

          • Integral Ferrule Accessories

          • Barb PFA Tube Fittings

          • Dual Containment Fittings

          • PureBond® Welded Pipe Fittings

          • PureBond® Accessories

          • NPT Pipe Fittings

          • NPT Accessories

        • Valves

          • CR and CH Series Valves

            • CR4 Series Valves

            • CR8 Series Valves

            • CH8 Series High-Temperature Valves

          • Integra® Valves

          • Cynergy® Valves

          • EP PFA Valves

          • Manifolds and Assemblies

          • Plug Valves

          • Needle/Metering Valves

          • Stopcock Valves

          • Check Valves

          • Valve Accessories

        • Fluid Handling Accessories

          • Spray Products

          • Aspirators

          • Fasteners

        • Valves Selection Tool

        • Fittings Selection Tool

        • Tubing and Pipe

        • Custom Products

      • Process Monitoring

        • Process Control

          • Integrated Flow Controllers

          • NT™ Proportional Control Valves

          • Photochemical Dispense Pumps

        • Process Measurement

          • Concentration Monitors

          • Electronic Flowmeters

          • NT™ Pressure Transducers

        • Particle Characterization

    • Gas Filtration and Purification

      • Gas Diffusers

        • Chambergard™ Gas Diffusers

      • Gas Filters

        • In-line Alloy-22 Gas Filters

        • Vent Gas Filters

        • Bulk Gas Filters and Housings

        • Other Gas Filters

        • In-Line PTFE Gas Filters

        • In-Line Stainless Steel Gas Filters

        • In-Line Nickel Gas Filters

        • Surface Mount Gas Filters

      • AMC Filters

        • Stepper and Scanner Filters

        • Process Tool Air Filters

        • Facility Filtration

        • Filter Housings and Stand-alone Filter Cabinets

      • Air and Gas Filter Membranes

      • Gas Purifiers

    • Hard Disk Component Handling

      • Storage Boxes and Individual Disk Packages

      • Read-Write Trays and Carriers

      • Disk Shippers

      • Disk Process Carriers

    • Liquid Filtration and Purification

      • Liquid Filters

      • Liquid Purifiers

      • Liquid Filter Housings

    • Mask and Reticle Handling

      • Reticle Pods and Purge Cabinets

      • Mask Carriers and Shipping Boxes

      • Mask Packages

    • Other Substrate Handling

      • Miscellaneous Device Processing

      • Solar Cell Solutions

    • Specialty Materials

      • Premium Graphite

        • Industrial Graphite Grades

        • Semiconductor Graphite Grades

        • Life Sciences Graphite Grades

        • Premium Graphite Components

        • Glass Forming Graphite Grades

        • GLASSMATE® Components

        • Graphite Processing Options

      • Coatings

        • Chucks

        • Plasma Enhanced Chemical Vapor Deposition (PECVD) Coatings

        • Caerus™ Coating Technology

        • Pegasus™ Coating Technology

      • Premium Silicon Carbide

        • SUPERSiC<sup>®</sup> Silicon Carbide Components

        • SUPERSiC<sup>®</sup> Silicon Carbide

      • Advanced Cleaning Materials

    • Specialty Gases

      • Specialty Gas Mixtures

      • Gas Delivery Cylinder Systems

      • Gas Delivery Cabinet Systems

      • Gases

    • Wafer Handling

      • Wafer Processing

        • CMP Cleaning Brushes

        • CMP Pads

        • 300 mm Front Opening Unified Pods (FOUPs)

        • 200 mm Wafer Processing

        • 200 mm Accessories

        • 150 mm Wafer Processing

        • 150 mm and Smaller Wafer Carrier Accessories

        • 125 mm Wafer Processing

        • 100 mm Wafer Processing

        • 76.2 mm 3" Wafer Processing

        • 2.5" and Smaller Wafer Processing

        • Labware

        • Chucks

      • Wafer Shipping

        • 150 mm Wafer Shippers

        • 125 mm and Smaller Wafer Shippers

        • 300 mm Wafer Shippers

        • 200 mm Wafer Shippers

      • Finished Wafer Shipping

        • SmartStack® Contactless Horizontal Wafer Shipper

        • SmartStack® Horizontal Wafer Shippers

        • Film Frame Rings and Shippers

  • resources resources Resources
    • Reference Materials

    • Technical Information

      • Calculators and Tools

      • Chemical Compatibility

      • Chemlock® Filter Housing Technical Information

      • Component Technical Information

      • Entegris Test Standards and Protocols

      • FAQ

      • Formulas

      • Glossary

      • Material Properties and Safety

      • Particle Characterization Applications

    • Industry Insights

      • 3D NAND Solutions

      • Achieving SAE J2719 Quality Hydrogen for Fuel Cells

      • Advanced Coatings

      • Advanced Deposition Materials Innovation

      • Automotive Reliability

      • Cars and Chips: The Acceleration of Electronic Systems

      • Chasing the Perfect Pattern

      • Chemical Mechanical Planarization (CMP)

      • Clean Chemical Delivery

      • Clean Gas Delivery

      • CMP Process Monitoring

      • Cold Chain Bioprocessing

      • Dual Containment Tubing

      • Electrostatic Discharge (ESD) Prevention

      • Enabling Advanced Lithography

      • End-to-End Gas Filtration Solutions

      • Food and Beverage Contamination Control

      • Front-end to Back-end Solutions

      • Glass in Front; Glass in Back

      • High-Density Cell Banking

      • High Purity Chemical Manufacturing

      • Holistic Approach to Enabling Device Performance, Yield, and Reliability

      • Internet of Things

      • Low-Temperature Bioprocessing

      • More Than Just a Chip Tray

      • Operational Excellence

      • Pandemic Response

      • Particle Characterization

      • Pervasive Defectivity in Semiconductor Manufacturing

      • Protecting Your Data Center Environment from Gas-Phase Contamination

      • The Rare Air of the Semiconductor Fab

      • Silicon Carbide CMP Solutions

      • The Silicon Precursor Toolbox for Low-Temperature Deposition

      • Solid Precursors and Delivery Systems

      • Solutions in Advanced Logic

      • Solving Advanced Technology Challenges with Innovative Materials

      • Storage Class Memory

      • Targeted Contaminant Removal

      • Specialty Gases and Delivery Systems for Ion Implantation

      • Accelerating Speed-to-Yield with High-Purity Materials Solutions

    • Ensights (Blog)

    • Scientific Report

  • about us about us About Us
    • Corporate Overview

    • Corporate Social Responsibility

    • Careers

    • Events

    • Investor Relations

    • Legal/Trademark Notices

    • Locations

    • News Releases

    • Media Coverage

    • Entegris Blog

    • Manufacturing

Safety in Handling Materials


Ventilation is recommended when handling fluoropolymer resins at high temperatures.

For more than 45 years, experience has shown that no reported cases of serious injury, prolonged illness, or death have resulted from the handling of fluoropolymer resins. Tests further indicate that the resins may be taken in food without ill effect and that the resins are nonirritating and nonsensitizing to the skin. There have been no known instances of dermatitis, allergy or other ill effects in man caused by handling unheated fabricated forms of resins.

In the case of human exposure to heated fluoropolymer resins, no lethal effect has been observed. Instead, such exposure has merely caused a temporary flu-like condition called polymer fume fever. The symptoms do not ordinarily occur until about two or more hours after exposure and pass off within 36 to 48 hours, even in the absence of treatment. Observations indicate that these attacks have no lasting effect and that the effects are not cumulative.

When such an attack occurs, it usually follows exposure to vapors evolved from the polymer at high temperatures used in resin processing operations.

Ventilation is typically provided to eliminate this potential problem and the resultant operator discomfort.

To verify this, an investigation was made by an independent laboratory into the potential for generation of fluoride decomposition products during the fusing operation. Air samples were collected for one hour directly above the point of fusing to determine if any particulate or gaseous decomposition products were being generated. 

The testing was done in stagnant air to simulate worst case conditions. No decomposition products, measured as hydrolyzable fluoride, were detected during this time. The lower detection limit of this test was 0.3 parts per million. These test results show that there is little potential for generation of hazardous decomposition products during the normal fusing process.

To further safeguard against the potential discomforts of polymer fume fever, do not smoke tobacco while flaring PFA tubing. This will reduce the possibility of inhaling any decomposition products, although small in quantity, that may be overheated by drawing them through a lit cigarette.