• our science our science Our Science
    • By Industry

      • Life Sciences

      • Microelectronics

        • Semiconductor

        • Data Storage

        • Flat Panel Display

        • LED

        • Solar

      • Industrial

        • Aerospace

        • Chemical Manufacturing

        • Chromatography and Analytical

        • Coatings, Inks, and Adhesives

        • EDM

        • General Industrial

        • Glass Forming

        • Polymer Manufacturing

        • Water Treatment

    • By Role

      • Logic Manufacturers

      • Memory Manufacturers

      • Semiconductor Equipment Manufacturers

      • Chemical Suppliers

      • Bioprocessors

    • By Solution Area

      • Contamination Control

      • Fluid Management

      • Life Sciences

      • Specialty Materials

      • Substrate Handling

    • By Service

      • Analytical Services

      • Field Support Services

      • FOUP Services

      • Refurbishment Services

      • Regeneration Services

      • Technology Centers

        • China Technology Center

        • Life Sciences Technology Center

  • product catalog product catalog Product Catalog
    • Bare Die/CSP Trays

      • Tray Wizard

      • H20 Series Accessories

      • H44 Series Accessories

    • Chemistries

      • Chemical Delivery Systems

        • Solid Chemical Delivery Systems

        • Liquid Chemical Delivery Systems

      • Specialty Chemicals

        • Catalysts

        • Coating, Ink, and Adhesive Ingredients

          • Resin Monomers and Components

          • Surface Modifiers

        • Post-CMP Cleaning Solutions

          • Semiconductor Cleaning Solutions

            • PlanarClean® Cleaning Solutions

            • ESC Cleaning Solutions

          • Wide Band Gap Semiconductor Cleaning Solutions

          • HDD Media Substrate Cleaning Solutions

        • Post Etch Cleaning Solutions

          • TitanKlean® Cleaning Solutions

          • ST Cleaning Solutions

          • NOE Etchant Cleaning Solutions

        • Precursors

          • Upstream ALD/CVD Precursors

          • Advanced Deposition Materials (ADM) ALD/CVD Precursors

        • Electronic Chemicals

        • Slurries

        • Silanes

        • Organophosphorus Compounds

        • Specialty Acrylates and Methacrylates

        • Siloxanes

        • Specialty Additives

        • Ligands

        • Wafer Reclaim

    • Fluid Management Systems

      • Fittings Selection Tool

      • Liquid Packaging

        • Chemical and Bioprocessing Containers

          • FluoroPure® HDPE Blowmolded Drums

            • FluoroPure® Advantage Trilayer HDPE Blowmolded Drums

            • FluoroPure® Trilayer HDPE Blowmolded Drums

          • FluoroPure® Composite Drums

          • FluoroPure® Custom Products

        • Single-Use Assemblies

          • 2D and 3D Bags

          • Mixing Systems

          • Motion Bioreactor Bags

          • Microcarrier and Cell Separation Systems

          • Secondary Containment

        • NOWPak® Liner Based Systems

        • Sentry® Quick Connect Systems

        • FluoroPure® Intermediate Bulk Containers

        • FluoroPure® Port Options, Tools and Accessories

        • Tube Sets and Manifolds

        • Custom Dip Tubes, Tanks, and Containers

        • FluoroPure® Pressure Vessels

        • Process Tanks

      • Valves Selection Tool

      • Fluid Handling

        • Fittings

          • PrimeLock® Tube Fittings

          • PrimeLock® ESD Tube Fittings

          • PrimeLock® Accessories

          • Flaretek® Tube Fittings

          • Flaretek® Accessories

          • Cynergy® Fittings

          • Cynergy® Accessories

          • EP PFA Flare Fittings

          • EP PFA Flare Fitting Accessories

          • PureBond® Welded Tube Fittings

          • Quikgrip® Tube Fittings

          • Quikgrip® PFA Nuts

          • Integral Ferrule Tube Fittings

          • Integral Ferrule Accessories

          • Barb PFA Tube Fittings

          • Dual Containment Fittings

          • PureBond® Welded Pipe Fittings

          • PureBond® Accessories

          • NPT Pipe Fittings

          • NPT Accessories

        • Valves

          • CR and CH Series Valves

            • CR4 Series Valves

            • CR8 Series Valves

            • CH8 Series High-Temperature Valves

          • Integra® Valves

          • Cynergy® Valves

          • EP PFA Valves

          • Manifolds and Assemblies

          • Plug Valves

          • Needle/Metering Valves

          • Stopcock Valves

          • Check Valves

          • Valve Accessories

        • Fluid Handling Accessories

          • Spray Products

          • Aspirators

          • Fasteners

        • Valves Selection Tool

        • Fittings Selection Tool

        • Tubing and Pipe

        • Custom Products

      • Process Monitoring

        • Process Control

          • Integrated Flow Controllers

          • NT™ Proportional Control Valves

          • Photochemical Dispense Pumps

        • Process Measurement

          • Concentration Monitors

          • Electronic Flowmeters

          • NT™ Pressure Transducers

        • Particle Characterization

    • Gas Filtration and Purification

      • Gas Diffusers

        • Chambergard™ Gas Diffusers

      • Gas Filters

        • Other Gas Filters

        • In-Line PTFE Gas Filters

        • In-Line Stainless Steel Gas Filters

        • In-Line Nickel Gas Filters

        • Surface Mount Gas Filters

      • AMC Filters

        • Stepper and Scanner Filters

        • Process Tool Air Filters

        • Facility Filtration

        • Filter Housings and Stand-alone Filter Cabinets

      • Gas Purifiers

    • Hard Disk Component Handling

      • Storage Boxes and Individual Disk Packages

      • Read-Write Trays and Carriers

      • Disk Shippers

      • Disk Process Carriers

    • Liquid Filtration and Purification

      • Liquid Filters

      • Liquid Purifiers

      • Liquid Filter Housings

    • Mask and Reticle Handling

      • Reticle Pods and Purge Cabinets

      • Mask Carriers and Shipping Boxes

      • Mask Packages

    • Other Substrate Handling

      • Miscellaneous Device Processing

      • Solar Cell Solutions

    • Specialty Materials

      • Premium Graphite

        • Industrial Graphite Grades

        • Semiconductor Graphite Grades

        • Life Sciences Graphite Grades

        • Premium Graphite Components

        • Glass Forming Graphite Grades

        • GLASSMATE® Components

        • Graphite Processing Options

      • Coatings

        • Chucks

        • Plasma Enhanced Chemical Vapor Deposition (PECVD) Coatings

        • Caerus™ Coating Technology

        • Pegasus™ Coating Technology

      • Premium Silicon Carbide

        • SUPERSiC<sup>®</sup> Silicon Carbide Components

        • SUPERSiC<sup>®</sup> Silicon Carbide

      • Advanced Cleaning Materials

    • Specialty Gases

      • Specialty Gas Mixtures

      • Gas Delivery Cylinder Systems

      • Gas Delivery Cabinet Systems

      • Gases

    • Wafer Handling

      • Wafer Processing

        • CMP Cleaning Brushes

        • CMP Pads

        • 300 mm Front Opening Unified Pods (FOUPs)

        • 200 mm Wafer Processing

        • 200 mm Accessories

        • 150 mm Wafer Processing

        • 150 mm and Smaller Wafer Carrier Accessories

        • 125 mm Wafer Processing

        • 100 mm Wafer Processing

        • 76.2 mm 3" Wafer Processing

        • 2.5" and Smaller Wafer Processing

        • Labware

        • Chucks

      • Wafer Shipping

        • 150 mm Wafer Shippers

        • 125 mm and Smaller Wafer Shippers

        • 300 mm Wafer Shippers

        • 200 mm Wafer Shippers

      • Finished Wafer Shipping

        • SmartStack® Contactless Horizontal Wafer Shipper

        • SmartStack® Horizontal Wafer Shippers

        • Film Frame Rings and Shippers

  • resources resources Resources
    • Reference Materials

    • Technical Information

      • Calculators and Tools

      • Chemical Compatibility

      • Chemlock® Filter Housing Technical Information

      • Component Technical Information

      • Entegris Test Standards and Protocols

      • FAQ

      • Formulas

      • Glossary

      • Material Properties and Safety

      • Particle Characterization Applications

    • Industry Insights

      • 3D NAND Solutions

      • Achieving Hydrogen Purity Standards for Fuel Cells

      • Advanced Coatings

      • Advanced Deposition Materials Innovation

      • Automotive Reliability

      • Cars and Chips: The Acceleration of Electronic Systems

      • Chasing the Perfect Pattern

      • Chemical Mechanical Planarization (CMP)

      • Clean Chemical Delivery

      • Clean Gas Delivery

      • CMP Process Monitoring

      • Cold Chain Bioprocessing

      • Dual Containment Tubing

      • Electrostatic Discharge (ESD) Prevention

      • Enabling Advanced Lithography

      • End-to-End Gas Filtration Solutions

      • Food and Beverage Contamination Control

      • Front-end to Back-end Solutions

      • Glass in Front; Glass in Back

      • High-Density Cell Banking

      • High Purity Chemical Manufacturing

      • Holistic Approach to Enabling Device Performance, Yield, and Reliability

      • Internet of Things

      • Low-Temperature Bioprocessing

      • More Than Just a Chip Tray

      • Operational Excellence

      • Pandemic Response

      • Particle Characterization

      • Pervasive Defectivity in Semiconductor Manufacturing

      • Protecting Your Data Center Environment from Gas-Phase Contamination

      • The Rare Air of the Semiconductor Fab

      • Silicon Carbide CMP Solutions

      • The Silicon Precursor Toolbox for Low-Temperature Deposition

      • Solid Precursors and Delivery Systems

      • Solutions in Advanced Logic

      • Solving Advanced Technology Challenges with Innovative Materials

      • Storage Class Memory

      • Targeted Contaminant Removal

      • Specialty Gases and Delivery Systems for Ion Implantation

      • Accelerating Speed-to-Yield with High-Purity Materials Solutions

    • Ensights (Blog)

    • Scientific Report

  • about us about us About Us
    • Corporate Overview

    • Corporate Social Responsibility

    • Careers

    • Events

    • Investor Relations

    • Legal/Trademark Notices

    • Locations

    • News Releases

    • Media Coverage

    • Entegris Blog

    • Manufacturing

Entegris Reveals Lessons from the Semiconductor Industry that will Shape the Future of Life Sciences Manufacturing

Transferrable technology, specialty materials and supply chain management strategies present new opportunities to innovate.

PUBLISHED Oct 19, 2021 | NEWS RELEASE
News Release
Download PDF (205.1 kB)

Billerica, MA, October 19, 2021Entegris, Inc. (NASDAQ: ENTG), a world-class supplier of advanced materials and process solutions for the semiconductor and other high-technology industries, today revealed lessons learned from its semiconductor business that have the potential to transform production and storage in the life sciences industry. These cross-industry applications may enable safer, more efficient production of biologics, and cell and gene therapies of the future.

John Lynch, vice president of Life Sciences at Entegris, has more than 30 years of scientific, technical, and business leadership experience focused on innovation and growth in the life sciences and other markets. He joined Entegris in 2017 and has been integral to developing the company’s life sciences capabilities.

Lynch says, “Manufacturing of emerging new therapies, such as mRNA vaccines and cell and gene therapies are ripe for transformation. There is a strong need for new tools and ways of working to help life sciences companies improve purity and yield while solving critical public health issues. In any industry, sometimes looking outside of your own market for answers is the fastest way to drive innovation.

“Although they produce very different products, the semiconductor and life sciences industries have a lot in common. Both have some of the most complex manufacturing processes and both must eliminate process variation. Rapid innovation, advanced materials, and effective supply chain management are all key to success in both industries,” Lynch said.

Three of the top lessons from the semiconductor industry currently being implemented into biopharma manufacturing include: 

1. Processes developed by the semiconductor industry that identify and reduce contaminants are being deployed to improve the purity and yield of drug substances.

By its nature, the semiconductor industry must have strong expertise in the characterization and control of nanoparticles. For example, semiconductor companies build devices characterized by sizes or nodes in the single nanometer dimensions, and then engineer materials on those devices that have a cross section of about five nanometers. In comparison, a strand of DNA is only two nanometers across. Therefore, the semiconductor industry routinely engineers materials at atomic scale dimensions where controlling impurities and defects is imperative to achieving acceptable yield.  Particles are typically controlled at single nanometer dimensions and metal contamination at the parts per quadrillion (PPQ) level.

In life sciences, particles are also increasingly a concern. Unidentified particles in the final drug product may prevent the full lot from being released, which could lead to wasted resources and significant financial losses. Many cell therapies are unable to use filtration to reduce particle burden, since filtration  removes the cells which are the final product. For this reason, other solutions need to be identified. Existing technologies from the semiconductor industry – from monitoring techniques to measurement tools – can be instrumental in identifying and minimizing particle burden in life sciences processes.

According to Lynch, “Unidentified particles in both the semiconductor and biologics manufacturing processes can lead to defects and contamination that can negatively impact yield. Due to the much smaller particle sizes in the semiconductor industry, particle identification is highly precise. The processes used in semiconductor manufacturing can offer significant improvements in purity and yield for the life sciences industry by reducing particle burden at the end of manufacturing and reducing contaminants in the final drug formulations.”

2. Materials used in semiconductor manufacturing are supporting extreme temperature demands required by new drug substances.

High performance polymers, which are well-established in the semiconductor industry, offer substantial material advantages. They are chemically resistant to aggressive chemicals used in semiconductor manufacturing processes. In addition, they can be used effectively in complex manufacturing environments characterized by extreme temperature requirements – either cryogenic or elevated temperatures. For example, they can tolerate the extreme temperature requirements in semiconductor processes such as a wet chemical bath that can reach 180°C.

As freeze storage becomes increasingly important in the life sciences industry with more drugs, therapies, and vaccines – including the COVID-19 vaccine – requiring precise cryogenic temperatures, this expertise can be applied to the life sciences industry. High performance polymers not only offer distinctive features for handling frozen bulk drug substances, they  are also one of the cleanest and most robust solutions on the market right now. Such high performance materials are a preferred solution for freezing, transporting, storing, and thawing drug substances, since they result in less contamination and breakage.

Lynch says, “High performance polymers are incredibly robust. They can handle cryogenic temperatures and can withstand gamma sterilization, which makes them useful for AAV, mRNA vaccines and protein applications in cell and gene therapy production. Our Aramus™ single-use assemblies are made of single-layer high performance polymers, and many leading biopharma companies have expressed strong interest in using this innovation, and several customers are currently incorporating it into their production.”

3. Semiconductor supply chain strength and resilience is being leveraged to scale up production of biologic therapies like COVID-19 vaccines.

The semiconductor industry has historically been well-positioned to respond to periods of high demand without disruption in large part due to its supply chain management systems. Reporting tools with statistical modeling capabilities deliver production teams timely and accurate information and critical metrics, such as safety stocks and logistic lead times. Supply chain digitization provides the ability to respond rapidly to demands and communicate with suppliers quickly.

The real-time data is underpinned by a strong, dynamic network of suppliers, which ensure semiconductor companies – and their partners – are prepared with back-up plans in the event one supplier is unable to meet the current demand.

Lynch explains, “Resiliency is key to both the semiconductor and life sciences industries. Biopharma has always depended on rapid innovation, high-quality and reliable supply to provide life-sustaining innovations worldwide, and this dependence has escalated significantly due to the COVID-19 pandemic. New supply chain management tools, like those used by the semiconductor industry, can help biopharma companies forge strong relationships with suppliers and leverage technology to provide real-time end-to-end updates to predict and solve potential issues before they occur.”

Lynch concludes, “The semiconductor industry offers highly controlled processes, advanced specialty materials and leading-edge technology that can deliver valuable benefits for life sciences manufacturing. What’s more, we should also embrace the semiconductor industry’s ‘spirit of collaboration’ in which producers partner with their peers on R&D initiatives to lift the industry as a whole. These lessons from our vast experience serving the semiconductor industry can be leveraged by life sciences companies to pave the way to better support novel biologic therapies of the future, including the successful delivery of COVID-19 vaccines worldwide.”

To learn about how Entegris is supporting the life sciences sector, visit https://lifesciences.entegris.com.

About Entegris
Entegris is a world-class supplier of advanced materials and process solutions for the semiconductor and other high-technology industries. Entegris has approximately 6,600 employees throughout its global operations and is ISO 9001 certified. It has manufacturing, customer service, and/or research facilities in the United States, Canada, China, France, Germany, Israel, Japan, Malaysia, Singapore, South Korea, and Taiwan. Additional information may be found at www.entegris.com.

Forward-Looking Statements
This news release contains forward-looking statements. The words “believe,” “expect,” “anticipate,” “intend,” “estimate,” “forecast,” “project,” “should,” “may,” “will,” “would” or the negative thereof and similar expressions are intended to identify such forward-looking statements. These forward-looking statements include statements related to applications of Entegris’ products, services, and technology; trends in the semiconductor and life sciences industries; and other matters. These statements involve risks and uncertainties, and actual results may differ materially from those projected in the forward-looking statements.  These risks and uncertainties include, but are not limited to, those risk factors and additional information described in Entegris’ filings with the Securities and Exchange Commission, including under the heading “Risk Factors” in Item 1A of Entegris’ Annual Report on Form 10-K for the fiscal year ended December 31, 2020, filed with the Securities and Exchange Commission on February 5, 2021, and in Entegris’ other periodic filings.

Media Contact:
Connie Chandler
Director of Corporate Communications
T +1 978-436-6546
connie.chandler@entegris.com

Investor Contact:
Bill Seymour
VP of Investor Relations
T +1 952-556-1844
bill.seymour@entegris.com