• our science our science Our Science
    • By Industry

      • Life Sciences

      • Microelectronics

        • Semiconductor

        • Data Storage

        • Flat Panel Display

        • LED

        • Solar

      • Industrial

        • Aerospace

        • Chemical Manufacturing

        • Chromatography and Analytical

        • Coatings, Inks, and Adhesives

        • EDM

        • General Industrial

        • Glass Forming

        • Polymer Manufacturing

        • Water Treatment

    • By Role

      • Logic Manufacturers

      • Memory Manufacturers

      • Semiconductor Equipment Manufacturers

      • Chemical Suppliers

      • Bioprocessors

    • By Solution Area

      • Contamination Control

      • Fluid Management

      • Life Sciences

      • Specialty Materials

      • Substrate Handling

    • By Service

      • Analytical Services

      • Field Support Services

      • FOUP Services

      • Refurbishment Services

      • Regeneration Services

      • Technology Centers

        • China Technology Center

        • Life Sciences Technology Center

  • product catalog product catalog Product Catalog
    • Bare Die/CSP Trays

      • Tray Wizard

      • H20 Series Accessories

      • H44 Series Accessories

    • Chemistries

      • Chemical Delivery Systems

        • Solid Chemical Delivery Systems

        • Liquid Chemical Delivery Systems

      • Specialty Chemicals

        • Catalysts

        • Coating, Ink, and Adhesive Ingredients

          • Resin Monomers and Components

          • Surface Modifiers

        • Post-CMP Cleaning Solutions

          • Semiconductor Cleaning Solutions

            • PlanarClean® Cleaning Solutions

            • ESC Cleaning Solutions

          • Wide Band Gap Semiconductor Cleaning Solutions

          • HDD Media Substrate Cleaning Solutions

        • Post Etch Cleaning Solutions

          • TitanKlean® Cleaning Solutions

          • ST Cleaning Solutions

          • NOE Etchant Cleaning Solutions

        • Precursors

          • Upstream ALD/CVD Precursors

          • Advanced Deposition Materials (ADM) ALD/CVD Precursors

        • Electronic Chemicals

        • Slurries

        • Silanes

        • Organophosphorus Compounds

        • Specialty Acrylates and Methacrylates

        • Siloxanes

        • Specialty Additives

        • Ligands

        • Wafer Reclaim

    • Fluid Management Systems

      • Fittings Selection Tool

      • Liquid Packaging

        • Chemical and Bioprocessing Containers

          • FluoroPure® HDPE Blowmolded Drums

            • FluoroPure® Advantage Trilayer HDPE Blowmolded Drums

            • FluoroPure® Trilayer HDPE Blowmolded Drums

          • FluoroPure® Composite Drums

          • FluoroPure® Custom Products

        • Single-Use Assemblies

          • 2D and 3D Bags

          • Mixing Systems

          • Motion Bioreactor Bags

          • Microcarrier and Cell Separation Systems

          • Secondary Containment

        • NOWPak® Liner Based Systems

        • Sentry® Quick Connect Systems

        • FluoroPure® Intermediate Bulk Containers

        • FluoroPure® Port Options, Tools and Accessories

        • Tube Sets and Manifolds

        • Custom Dip Tubes, Tanks, and Containers

        • FluoroPure® Pressure Vessels

        • Process Tanks

      • Valves Selection Tool

      • Fluid Handling

        • Fittings

          • PrimeLock® Tube Fittings

          • PrimeLock® ESD Tube Fittings

          • PrimeLock® Accessories

          • Flaretek® Tube Fittings

          • Flaretek® Accessories

          • Cynergy® Fittings

          • Cynergy® Accessories

          • EP PFA Flare Fittings

          • EP PFA Flare Fitting Accessories

          • PureBond® Welded Tube Fittings

          • Quikgrip® Tube Fittings

          • Quikgrip® PFA Nuts

          • Integral Ferrule Tube Fittings

          • Integral Ferrule Accessories

          • Barb PFA Tube Fittings

          • Dual Containment Fittings

          • PureBond® Welded Pipe Fittings

          • PureBond® Accessories

          • NPT Pipe Fittings

          • NPT Accessories

        • Valves

          • CR and CH Series Valves

            • CR4 Series Valves

            • CR8 Series Valves

            • CH8 Series High-Temperature Valves

          • Integra® Valves

          • Cynergy® Valves

          • EP PFA Valves

          • Manifolds and Assemblies

          • Plug Valves

          • Needle/Metering Valves

          • Stopcock Valves

          • Check Valves

          • Valve Accessories

        • Fluid Handling Accessories

          • Spray Products

          • Aspirators

          • Fasteners

        • Valves Selection Tool

        • Fittings Selection Tool

        • Tubing and Pipe

        • Custom Products

      • Process Monitoring

        • Process Control

          • Integrated Flow Controllers

          • NT™ Proportional Control Valves

          • Photochemical Dispense Pumps

        • Process Measurement

          • Concentration Monitors

          • Electronic Flowmeters

          • NT™ Pressure Transducers

        • Particle Characterization

    • Gas Filtration and Purification

      • Gas Diffusers

        • Chambergard™ Gas Diffusers

      • Gas Filters

        • Other Gas Filters

        • In-Line PTFE Gas Filters

        • In-Line Stainless Steel Gas Filters

        • In-Line Nickel Gas Filters

        • Surface Mount Gas Filters

      • AMC Filters

        • Stepper and Scanner Filters

        • Process Tool Air Filters

        • Facility Filtration

        • Filter Housings and Stand-alone Filter Cabinets

      • Gas Purifiers

    • Hard Disk Component Handling

      • Storage Boxes and Individual Disk Packages

      • Read-Write Trays and Carriers

      • Disk Shippers

      • Disk Process Carriers

    • Liquid Filtration and Purification

      • Liquid Filters

      • Liquid Purifiers

      • Liquid Filter Housings

    • Mask and Reticle Handling

      • Reticle Pods and Purge Cabinets

      • Mask Carriers and Shipping Boxes

      • Mask Packages

    • Other Substrate Handling

      • Miscellaneous Device Processing

      • Solar Cell Solutions

    • Specialty Materials

      • Premium Graphite

        • Industrial Graphite Grades

        • Semiconductor Graphite Grades

        • Life Sciences Graphite Grades

        • Premium Graphite Components

        • Glass Forming Graphite Grades

        • GLASSMATE® Components

        • Graphite Processing Options

      • Coatings

        • Chucks

        • Plasma Enhanced Chemical Vapor Deposition (PECVD) Coatings

        • Caerus™ Coating Technology

        • Pegasus™ Coating Technology

      • Premium Silicon Carbide

        • SUPERSiC<sup>®</sup> Silicon Carbide Components

        • SUPERSiC<sup>®</sup> Silicon Carbide

      • Advanced Cleaning Materials

    • Specialty Gases

      • Specialty Gas Mixtures

      • Gas Delivery Cylinder Systems

      • Gas Delivery Cabinet Systems

      • Gases

    • Wafer Handling

      • Wafer Processing

        • CMP Cleaning Brushes

        • CMP Pads

        • 300 mm Front Opening Unified Pods (FOUPs)

        • 200 mm Wafer Processing

        • 200 mm Accessories

        • 150 mm Wafer Processing

        • 150 mm and Smaller Wafer Carrier Accessories

        • 125 mm Wafer Processing

        • 100 mm Wafer Processing

        • 76.2 mm 3" Wafer Processing

        • 2.5" and Smaller Wafer Processing

        • Labware

        • Chucks

      • Wafer Shipping

        • 150 mm Wafer Shippers

        • 125 mm and Smaller Wafer Shippers

        • 300 mm Wafer Shippers

        • 200 mm Wafer Shippers

      • Finished Wafer Shipping

        • SmartStack® Contactless Horizontal Wafer Shipper

        • SmartStack® Horizontal Wafer Shippers

        • Film Frame Rings and Shippers

  • resources resources Resources
    • Reference Materials

    • Technical Information

      • Calculators and Tools

      • Chemical Compatibility

      • Chemlock® Filter Housing Technical Information

      • Component Technical Information

      • Entegris Test Standards and Protocols

      • FAQ

      • Formulas

      • Glossary

      • Material Properties and Safety

      • Particle Characterization Applications

    • Industry Insights

      • 3D NAND Solutions

      • Achieving Hydrogen Purity Standards for Fuel Cells

      • Advanced Coatings

      • Advanced Deposition Materials Innovation

      • Automotive Reliability

      • Cars and Chips: The Acceleration of Electronic Systems

      • Chasing the Perfect Pattern

      • Chemical Mechanical Planarization (CMP)

      • Clean Chemical Delivery

      • Clean Gas Delivery

      • CMP Process Monitoring

      • Cold Chain Bioprocessing

      • Dual Containment Tubing

      • Electrostatic Discharge (ESD) Prevention

      • Enabling Advanced Lithography

      • End-to-End Gas Filtration Solutions

      • Food and Beverage Contamination Control

      • Front-end to Back-end Solutions

      • Glass in Front; Glass in Back

      • High-Density Cell Banking

      • High Purity Chemical Manufacturing

      • Holistic Approach to Enabling Device Performance, Yield, and Reliability

      • Internet of Things

      • Low-Temperature Bioprocessing

      • More Than Just a Chip Tray

      • Operational Excellence

      • Pandemic Response

      • Particle Characterization

      • Pervasive Defectivity in Semiconductor Manufacturing

      • Protecting Your Data Center Environment from Gas-Phase Contamination

      • The Rare Air of the Semiconductor Fab

      • Silicon Carbide CMP Solutions

      • The Silicon Precursor Toolbox for Low-Temperature Deposition

      • Solid Precursors and Delivery Systems

      • Solutions in Advanced Logic

      • Solving Advanced Technology Challenges with Innovative Materials

      • Storage Class Memory

      • Targeted Contaminant Removal

      • Specialty Gases and Delivery Systems for Ion Implantation

      • Accelerating Speed-to-Yield with High-Purity Materials Solutions

    • Ensights (Blog)

    • Scientific Report

  • about us about us About Us
    • Corporate Overview

    • Corporate Social Responsibility

    • Careers

    • Events

    • Investor Relations

    • Legal/Trademark Notices

    • Locations

    • News Releases

    • Media Coverage

    • Entegris Blog

    • Manufacturing

Entegris and Imec Collaborate on 3D Wafer Handling and Shipping Challenges

PUBLISHED Aug 28, 2013 | WAFER SHIPPING
News Release
Download PDF (12.9 kB)

BILLERICA, MA and LEUVEN, Belgium, August 28, 2013 – Entegris, Inc. (Nasdaq: ENTG), a leader in contamination control and materials handling technologies for highly demanding advanced manufacturing environments, and imec, a world-leading research center in nanoelectronics, announced they are collaborating to advance the development and broaden the adoption of 3D integrated circuits. 

3D IC technology, a process by which multiple semiconductor dies are stacked into a single device, is aimed at increasing the functionality and performance of next-generation integrated circuits while reducing footprint and power consumption. It is a key technology to enable the next generation of portable electronics such as smartphones and tablets that require smaller ICs which consume less power. 

One of the key steps in 3D IC manufacturing process entails thinning semiconductor wafers while they are bonded to carrier substrates. Handling such thinned 3D IC wafers during the production process can result in wafer breakage, edge damage, and particle generation. A standardized, fully automated solution that supports the handling of multiple types of wafers would result in a significant cost reduction and pave the way toward further development and scaling of 3D IC technologies. Imec and Entegris are working on creating a solution to safely transfer and handle multiple kinds of 3D IC wafers without the risk of breakage and other damage that may occur during the 3D production process. 

“We are excited to work with the imec team, which is a key research center leading technology innovation for the semiconductor industry,” said Bertrand Loy, President and CEO of Entegris. “Our current collaboration is aimed at leveraging our wafer handling expertise and technology to reduce contamination and breakage by applying full automation to the handling of thin wafers during 3D wafer production. This project builds on our previously completed work with imec to develop dispense and filtration methods to reduce bubble and defect formation during the dispense of material that is used to temporarily bond 3D wafers to carrier substrates,” said Loy. 

”This collaboration with Entegris aims at developing a solution toward fully automated handling of multiple types of 3D IC wafers,” stated Eric Beyne, Director of imec’s 3D integration research program. “Such a general solution would imply a significant reduction of the development cost, which is key to the realization of a scalable and manufacturable 3D IC technology.” 

To learn more about Entegris’ comprehensive suite of filtration and wafer handling solutions, see Entegris at booth 176 at SEMICON® Taiwan, September 4-6, 2013. For more information, please contact Entegris at: Asia_News@entegris.com. Join our SEMICON Taiwan event on Facebook to stay connected with the latest announcements before and during the show. 

Learn more about imec’s 3D integration research at the Tawain edition of the IMEC TECHNOLOGY FORUM, organized in conjunction with SEMICON Taiwan and in collaboration with SEMI® on September 4, 2013 at the Taipei World Trade Center Nangang Exhibition Hall, Taipei, Taiwan. For more information: www.itf2013taiwan.be

ABOUT IMEC

Imec performs world-leading research in nanoelectronics. Imec leverages its scientific knowledge with the innovative power of its global partnerships in ICT, healthcare and energy. Imec delivers industry-relevant technology solutions. In a unique high-tech environment, its international top talent is committed to providing the building blocks for a better life in a sustainable society. Imec is headquartered in Leuven, Belgium, and has offices in Belgium, the Netherlands, Taiwan, US, China, India and Japan. Its staff of more than 2,000 people includes more than 650 industrial residents and guest researchers. In 2012, imec revenue (P&L) totaled 320 million euro. Further information on imec can be found at www.imec.be. 

Imec is a registered trademark for the activities of Imec International (a legal entity set up under Belgian law as a "stichting van openbaar nut”), Imec Belgium (Imec vzw supported by the Flemish Government), Imec  the Netherlands (Stichting Imec Nederland, part of Holst Centre which is supported by the Dutch Government), Imec  Taiwan (Imec Taiwan Co.) and Imec Imec  China (Imec Microelectronics (Shanghai) Co. Ltd.) and Imec  India (Imec India Private Limited). 

ABOUT ENTEGRIS

Entegris is a leading provider of a wide range of products for purifying, protecting and transporting critical materials used in processing and manufacturing in the semiconductor and other high-tech industries.  Entegris is ISO 9001 certified and has manufacturing, customer service and/or research facilities in the United States, China, France, Germany, Israel, Japan, Malaysia, Singapore, South Korea and Taiwan. Additional information can be found at www.entegris.com. 

Click here to download a Traditional Chinese version of this release.