• our science our science Our Science
    • By Industry

      • Life Sciences

      • Microelectronics

        • Semiconductor

        • Data Storage

        • Flat Panel Display

        • LED

        • Solar

      • Industrial

        • Aerospace

        • Chemical Manufacturing

        • Chromatography and Analytical

        • Coatings, Inks, and Adhesives

        • EDM

        • General Industrial

        • Glass Forming

        • Polymer Manufacturing

        • Water Treatment

    • By Role

      • Logic Manufacturers

      • Memory Manufacturers

      • Semiconductor Equipment Manufacturers

      • Chemical Suppliers

      • Bioprocessors

    • By Solution Area

      • Contamination Control

      • Fluid Management

      • Life Sciences

      • Specialty Materials

      • Substrate Handling

    • By Service

      • Analytical Services

      • Field Support Services

      • FOUP Services

      • Refurbishment Services

      • Regeneration Services

      • Technology Centers

        • China Technology Center

        • Life Sciences Technology Center

  • product catalog product catalog Product Catalog
    • Bare Die/CSP Trays

      • Tray Wizard

      • H20 Series Accessories

      • H44 Series Accessories

    • Chemistries

      • Chemical Delivery Systems

        • Solid Chemical Delivery Systems

        • Liquid Chemical Delivery Systems

      • Specialty Chemicals

        • Catalysts

        • Coating, Ink, and Adhesive Ingredients

          • Resin Monomers and Components

          • Surface Modifiers

        • Post-CMP Cleaning Solutions

          • Semiconductor Cleaning Solutions

            • PlanarClean® Cleaning Solutions

            • ESC Cleaning Solutions

          • Wide Band Gap Semiconductor Cleaning Solutions

          • HDD Media Substrate Cleaning Solutions

        • Post Etch Cleaning Solutions

          • TitanKlean® Cleaning Solutions

          • ST Cleaning Solutions

          • NOE Etchant Cleaning Solutions

        • Precursors

          • Upstream ALD/CVD Precursors

          • Advanced Deposition Materials (ADM) ALD/CVD Precursors

        • Electronic Chemicals

        • Slurries

        • Silanes

        • Organophosphorus Compounds

        • Specialty Acrylates and Methacrylates

        • Siloxanes

        • Specialty Additives

        • Ligands

        • Wafer Reclaim

    • Fluid Management Systems

      • Fittings Selection Tool

      • Liquid Packaging

        • Chemical and Bioprocessing Containers

          • FluoroPure® HDPE Blowmolded Drums

            • FluoroPure® Advantage Trilayer HDPE Blowmolded Drums

            • FluoroPure® Trilayer HDPE Blowmolded Drums

          • FluoroPure® Composite Drums

          • FluoroPure® Custom Products

        • Single-Use Assemblies

          • 2D and 3D Bags

          • Mixing Systems

          • Motion Bioreactor Bags

          • Microcarrier and Cell Separation Systems

          • Secondary Containment

        • NOWPak® Liner Based Systems

        • Sentry® Quick Connect Systems

        • FluoroPure® Intermediate Bulk Containers

        • FluoroPure® Port Options, Tools and Accessories

        • Tube Sets and Manifolds

        • Custom Dip Tubes, Tanks, and Containers

        • FluoroPure® Pressure Vessels

        • Process Tanks

      • Valves Selection Tool

      • Fluid Handling

        • Fittings

          • PrimeLock® Tube Fittings

          • PrimeLock® ESD Tube Fittings

          • PrimeLock® Accessories

          • Flaretek® Tube Fittings

          • Flaretek® Accessories

          • Cynergy® Fittings

          • Cynergy® Accessories

          • EP PFA Flare Fittings

          • EP PFA Flare Fitting Accessories

          • PureBond® Welded Tube Fittings

          • Quikgrip® Tube Fittings

          • Quikgrip® PFA Nuts

          • Integral Ferrule Tube Fittings

          • Integral Ferrule Accessories

          • Barb PFA Tube Fittings

          • Dual Containment Fittings

          • PureBond® Welded Pipe Fittings

          • PureBond® Accessories

          • NPT Pipe Fittings

          • NPT Accessories

        • Valves

          • CR and CH Series Valves

            • CR4 Series Valves

            • CR8 Series Valves

            • CH8 Series High-Temperature Valves

          • Integra® Valves

          • Cynergy® Valves

          • EP PFA Valves

          • Manifolds and Assemblies

          • Plug Valves

          • Needle/Metering Valves

          • Stopcock Valves

          • Check Valves

          • Valve Accessories

        • Fluid Handling Accessories

          • Spray Products

          • Aspirators

          • Fasteners

        • Valves Selection Tool

        • Fittings Selection Tool

        • Tubing and Pipe

        • Custom Products

      • Process Monitoring

        • Process Control

          • Integrated Flow Controllers

          • NT™ Proportional Control Valves

          • Photochemical Dispense Pumps

        • Process Measurement

          • Concentration Monitors

          • Electronic Flowmeters

          • NT™ Pressure Transducers

        • Particle Characterization

    • Gas Filtration and Purification

      • Gas Diffusers

        • Chambergard™ Gas Diffusers

      • Gas Filters

        • Other Gas Filters

        • In-Line PTFE Gas Filters

        • In-Line Stainless Steel Gas Filters

        • In-Line Nickel Gas Filters

        • Surface Mount Gas Filters

      • AMC Filters

        • Stepper and Scanner Filters

        • Process Tool Air Filters

        • Facility Filtration

        • Filter Housings and Stand-alone Filter Cabinets

      • Gas Purifiers

    • Hard Disk Component Handling

      • Storage Boxes and Individual Disk Packages

      • Read-Write Trays and Carriers

      • Disk Shippers

      • Disk Process Carriers

    • Liquid Filtration and Purification

      • Liquid Filters

      • Liquid Purifiers

      • Liquid Filter Housings

    • Mask and Reticle Handling

      • Reticle Pods and Purge Cabinets

      • Mask Carriers and Shipping Boxes

      • Mask Packages

    • Other Substrate Handling

      • Miscellaneous Device Processing

      • Solar Cell Solutions

    • Specialty Materials

      • Premium Graphite

        • Industrial Graphite Grades

        • Semiconductor Graphite Grades

        • Life Sciences Graphite Grades

        • Premium Graphite Components

        • Glass Forming Graphite Grades

        • GLASSMATE® Components

        • Graphite Processing Options

      • Coatings

        • Chucks

        • Plasma Enhanced Chemical Vapor Deposition (PECVD) Coatings

        • Caerus™ Coating Technology

        • Pegasus™ Coating Technology

      • Premium Silicon Carbide

        • SUPERSiC<sup>®</sup> Silicon Carbide Components

        • SUPERSiC<sup>®</sup> Silicon Carbide

      • Advanced Cleaning Materials

    • Specialty Gases

      • Specialty Gas Mixtures

      • Gas Delivery Cylinder Systems

      • Gas Delivery Cabinet Systems

      • Gases

    • Wafer Handling

      • Wafer Processing

        • CMP Cleaning Brushes

        • CMP Pads

        • 300 mm Front Opening Unified Pods (FOUPs)

        • 200 mm Wafer Processing

        • 200 mm Accessories

        • 150 mm Wafer Processing

        • 150 mm and Smaller Wafer Carrier Accessories

        • 125 mm Wafer Processing

        • 100 mm Wafer Processing

        • 76.2 mm 3" Wafer Processing

        • 2.5" and Smaller Wafer Processing

        • Labware

        • Chucks

      • Wafer Shipping

        • 150 mm Wafer Shippers

        • 125 mm and Smaller Wafer Shippers

        • 300 mm Wafer Shippers

        • 200 mm Wafer Shippers

      • Finished Wafer Shipping

        • SmartStack® Contactless Horizontal Wafer Shipper

        • SmartStack® Horizontal Wafer Shippers

        • Film Frame Rings and Shippers

  • resources resources Resources
    • Reference Materials

    • Technical Information

      • Calculators and Tools

      • Chemical Compatibility

      • Chemlock® Filter Housing Technical Information

      • Component Technical Information

      • Entegris Test Standards and Protocols

      • FAQ

      • Formulas

      • Glossary

      • Material Properties and Safety

      • Particle Characterization Applications

    • Industry Insights

      • 3D NAND Solutions

      • Achieving Hydrogen Purity Standards for Fuel Cells

      • Advanced Coatings

      • Advanced Deposition Materials Innovation

      • Automotive Reliability

      • Cars and Chips: The Acceleration of Electronic Systems

      • Chasing the Perfect Pattern

      • Chemical Mechanical Planarization (CMP)

      • Clean Chemical Delivery

      • Clean Gas Delivery

      • CMP Process Monitoring

      • Cold Chain Bioprocessing

      • Dual Containment Tubing

      • Electrostatic Discharge (ESD) Prevention

      • Enabling Advanced Lithography

      • End-to-End Gas Filtration Solutions

      • Food and Beverage Contamination Control

      • Front-end to Back-end Solutions

      • Glass in Front; Glass in Back

      • High-Density Cell Banking

      • High Purity Chemical Manufacturing

      • Holistic Approach to Enabling Device Performance, Yield, and Reliability

      • Internet of Things

      • Low-Temperature Bioprocessing

      • More Than Just a Chip Tray

      • Operational Excellence

      • Pandemic Response

      • Particle Characterization

      • Pervasive Defectivity in Semiconductor Manufacturing

      • Protecting Your Data Center Environment from Gas-Phase Contamination

      • The Rare Air of the Semiconductor Fab

      • Silicon Carbide CMP Solutions

      • The Silicon Precursor Toolbox for Low-Temperature Deposition

      • Solid Precursors and Delivery Systems

      • Solutions in Advanced Logic

      • Solving Advanced Technology Challenges with Innovative Materials

      • Storage Class Memory

      • Targeted Contaminant Removal

      • Specialty Gases and Delivery Systems for Ion Implantation

      • Accelerating Speed-to-Yield with High-Purity Materials Solutions

    • Ensights (Blog)

    • Scientific Report

  • about us about us About Us
    • Corporate Overview

    • Corporate Social Responsibility

    • Careers

    • Events

    • Investor Relations

    • Legal/Trademark Notices

    • Locations

    • News Releases

    • Media Coverage

    • Entegris Blog

    • Manufacturing

Join Us | Booth 519

Photolithography tools rely on extremely sensitive materials, and Entegris provides the tools to ensure that they perform as expected. Stop by our booth and learn about our filters, purifiers, chemical delivery systems, and EUV reticle pods, all of which ensure that critical photolithography materials arrive safe and free from contamination. Then, check out our poster presentations to learn about our latest advancements. 

Register Now!
SPIE 2024 Spotlight

FEATURED POSTERS

February 28 | 5:30 – 7:00 p.m. | Convention Center, Hall 2

 

High throughput ultra clean UPE filters for sub-5nm node aqueous applications

 

Patricia Chen, Applications Engineer, Entegris, Inc.

Entegris introduces a new pre-wet UPE (ultra-high molecular weight Polyethylene) cartridge filtration technology has been examined incorporating a highly retentive, high throughput of design that maintains exceptional metal cleanliness to meet critical aqueous application.


Wet etch process for high resolution DSA patterning for advanced node DRAM

 

Eri Hirahaha, Field Technology Engineer, Entegris, Inc.

For adopting DSA patterning technology to implementation of upcoming DRAM nodes, a novel, unique, and user-friendly etching process of wet Chemistry was introduced. Our concept performs a good etch selectivity in design which facilitates high resolution patterning, and potentially offers a solution alternative to conventional dry etch techniques especially where CD goes smaller with a higher aspect ratio. This paper will discuss more in detail the concept of wet chemistry and design strategy which were developed for processing PS-b-PMMA (polystyrene-b-polymethyl  methacrylate) on hole patterns for advanced nodes. Besides, we further  open the perspectives of our wet etch proposal to new process development which does not require UV cure. Preliminary experiment and demonstration for a PS-b-PMMA-based DSA pattern structure will also be  discussed.


Next generation ultra clean nylon filter for on-wafer defects reduction enhancement

 

Kanjanawadee Shiraishi, Applications Engineer, Entegris, Inc.

The microstructures on chips become ever more sensitive to microscopic  contaminants as semiconductor technology nodes evolve. Strict control of possible defect sources is required to protect sensitive chip patterns. One such source is the filter itself. In advanced lithography processes such as EUV and ArF, filter cleanliness has become increasingly vital to achieving superior and stable on-wafer defect performance. Filter extractables can result in cone defects, scum defects and other undesirable yield-impacting  issues on wafers. In addition to the adoption of a highly retentive media, this next-generation nylon filter delivers superior defect performance via enhanced raw material cleanliness along with advancements in filter cleaning technology. Cleanliness evaluations in this study demonstrate that the newly developed ultra clean nylon filter has lower levels of metallic  and organic extractables in organic and acidic solvents. Furthermore, the new filter’s high stability and low pH extractables suggest it can be adopted  to certain acidic photochemicals such as used in SiARC and BARC applications.


An update on the improvement in optimization of point-of-use filtration of metal oxide photoresists

 

Tetsu Kohyama, Applications Development Engineer (IMEC Assignee), Entegris, Inc

EUV is particularly susceptible to stochastic imaging defects. Although  standard CAR resists can be exposed with EUV, these materials struggle to achieve resolution targets at manufacturable doses due to limitations in  laser source power and resist sensitivity and contribute to stochastics by  nature of their random distribution of components. An innovative approach with metal-oxide resist can offer an alternative to overcome both EUV resolution and sensitivity limitations, as well as address stochastic defects. Traditional sources of defectivity present another challenge, especially when moving toward high-volume manufacturing. Polyethylene membrane filters have been used for metal oxide EUV resist filtration because of their high retention efficiency and excellent photochemical compatibility. However, newly designed Polyethylene membrane filters with innovative membrane morphology are needed to further lower defectivity rates with these new resists. This study examines the efficacy of optimized filtration design to reduce defects and provides a  a recommendation to achieve lower defect density. 


Updated UPE filter design for point-of-use EUV CAR photoresist filtration for defect improvement

 

Tetsu Kohyama, Applications Development Engineer (IMEC Assignee), Entegris, Inc.

The demand for device scaling has produced more complex processes and expensive multiple-patterning requirements. Broad consensus on the direction of EUV technology has triggered the semiconductor industry to aggressively push new resist material development, particularly  designed to overcome stochastic issues, which coincides with the  establishment of the single-print capability infrastructure for the high NA EUV scanner. Although standard CARs have been struggling to overcome stochastic issues, they have improved significantly to demonstrate 24 nm resolution with single exposure patterning using ASML’s NXE3400B. At the point of use filtration, polyethylene filters have been widely used to eliminate traditional sources of defectivity in photoresist materials thanks to its high retention efficiency and excellent photochemical compatibility. However, newly designed polyethylene filters with innovative membrane morphology are needed to further lower defectivity rates. This study examines the efficacy of optimized filter design to reduce defects and provides a recommendation to achieve lower defect density.