• our science our science Our Science
    • By Industry

      • Life Sciences

      • Microelectronics

        • Semiconductor

        • Data Storage

        • Flat Panel Display

        • LED

        • Solar

      • Industrial

        • Aerospace

        • Chemical Manufacturing

        • Chromatography and Analytical

        • Coatings, Inks, and Adhesives

        • EDM

        • General Industrial

        • Glass Forming

        • Polymer Manufacturing

        • Water Treatment

    • By Role

      • Logic Manufacturers

      • Memory Manufacturers

      • Semiconductor Equipment Manufacturers

      • Chemical Suppliers

      • Bioprocessors

    • By Solution Area

      • Contamination Control

      • Fluid Management

      • Life Sciences

      • Specialty Materials

      • Substrate Handling

    • By Service

      • Analytical Services

      • Field Support Services

      • FOUP Services

      • Refurbishment Services

      • Regeneration Services

      • Technology Centers

        • China Technology Center

        • Life Sciences Technology Center

  • product catalog product catalog Product Catalog
    • Device Trays

      • Tray Wizard

      • H20 Series Accessories

      • H44 Series Accessories

    • Chemistries

      • Chemical Delivery Systems

        • Solid Chemical Delivery Systems

        • Liquid Chemical Delivery Systems

      • Specialty Chemicals

        • Catalysts

        • Coating, Ink, and Adhesive Ingredients

          • Resin Monomers and Components

          • Surface Modifiers

        • Post-CMP Cleaning Solutions

          • Semiconductor Cleaning Solutions

            • PlanarClean® Cleaning Solutions

            • ESC Cleaning Solutions

          • Wide Band Gap Semiconductor Cleaning Solutions

          • HDD Media Substrate Cleaning Solutions

        • Post Etch Cleaning Solutions

          • TitanKlean® Cleaning Solutions

          • ST Cleaning Solutions

          • NOE Etchant Cleaning Solutions

        • Precursors

          • Upstream ALD/CVD Precursors

          • Advanced Deposition Materials (ADM) ALD/CVD Precursors

        • Electronic Chemicals

        • Slurries

        • Silanes

        • Organophosphorus Compounds

        • Specialty Acrylates and Methacrylates

        • Siloxanes

        • Specialty Additives

        • Ligands

        • Wafer Reclaim

    • Fluid Management Systems

      • Fittings Selection Tool

      • Liquid Packaging

        • Chemical and Bioprocessing Containers

          • FluoroPure® HDPE Blowmolded Drums

            • FluoroPure® Advantage Trilayer HDPE Blowmolded Drums

            • FluoroPure® Trilayer HDPE Blowmolded Drums

          • FluoroPure® Composite Drums

          • FluoroPure® Custom Products

        • Single-Use Assemblies

          • 2D and 3D Bags

          • Mixing Systems

          • Motion Bioreactor Bags

          • Microcarrier and Cell Separation Systems

          • Secondary Containment

        • NOWPak® Liner Based Systems

        • Sentry® Quick Connect Systems

        • FluoroPure® Intermediate Bulk Containers

        • FluoroPure® Port Options, Tools and Accessories

        • Tube Sets and Manifolds

        • Custom Dip Tubes

        • FluoroPure® Pressure Vessels

        • Process Tanks

      • Valves Selection Tool

      • Fluid Handling

        • Fittings

          • PrimeLock® Tube Fittings

          • PrimeLock® ESD Tube Fittings

          • PrimeLock® Accessories

          • Flaretek® Tube Fittings

          • Flaretek® Accessories

          • Cynergy® Fittings

          • Cynergy® Accessories

          • EP PFA Flare Fittings

          • EP PFA Flare Fitting Accessories

          • PureBond® Welded Tube Fittings

          • Quikgrip® Tube Fittings

          • Quikgrip® PFA Nuts

          • Integral Ferrule Tube Fittings

          • Integral Ferrule Accessories

          • Barb PFA Tube Fittings

          • Dual Containment Fittings

          • PureBond® Welded Pipe Fittings

          • PureBond® Accessories

          • NPT Pipe Fittings

          • NPT Accessories

        • Valves

          • CR and CH Series Valves

            • CR4 Series Valves

            • CR8 Series Valves

            • CH8 Series High-Temperature Valves

            • CRE4 Series Valves

            • CRE8 Series Valves

          • Integra® Valves

          • Cynergy® Valves

          • EP PFA Valves

          • Manifolds and Assemblies

          • Plug Valves

          • Needle/Metering Valves

          • Stopcock Valves

          • Check Valves

          • Valve Accessories

          • Integra® Plus WS ESD Valves

        • Fluid Handling Accessories

          • Spray Products

          • Aspirators

          • Fasteners

        • Valves Selection Tool

        • Fittings Selection Tool

        • Tubing and Pipe

        • Custom Products

      • Process Monitoring

        • Process Control

          • Integrated Flow Controllers

          • NT™ Proportional Control Valves

          • Photochemical Dispense Pumps

        • Process Measurement

          • Concentration Monitors

          • Electronic Flowmeters

          • NT™ Pressure Transducers

        • Particle Characterization

    • Gas Filtration and Purification

      • Gas Diffusers

        • Chambergard™ Gas Diffusers

      • Gas Filters

        • Other Gas Filters

        • In-Line PTFE Gas Filters

        • In-Line Stainless Steel Gas Filters

        • In-Line Nickel Gas Filters

        • Surface Mount Gas Filters

      • AMC Filters

        • Stepper and Scanner Filters

        • Process Tool Air Filters

        • Facility Filtration

        • Filter Housings and Stand-alone Filter Cabinets

      • Gas Purifiers

    • Hard Disk Component Handling

      • Storage Boxes and Individual Disk Packages

      • Read-Write Trays and Carriers

      • Disk Shippers

      • Disk Process Carriers

    • Liquid Filtration and Purification

      • Liquid Filters

      • Liquid Purifiers

      • Liquid Filter Housings

    • Mask and Reticle Handling

      • Reticle Pods and Purge Cabinets

      • Mask Carriers and Shipping Boxes

      • Mask Packages

    • Other Substrate Handling

      • Miscellaneous Device Processing

      • Solar Cell Solutions

    • Specialty Materials

      • Premium Graphite

        • Industrial Graphite Grades

        • Semiconductor Graphite Grades

        • Life Sciences Graphite Grades

        • Premium Graphite Components

        • Glass Forming Graphite Grades

        • GLASSMATE® Components

        • Graphite Processing Options

      • Coatings

        • Chucks

        • Plasma Enhanced Chemical Vapor Deposition (PECVD) Coatings

        • Caerus™ Coating Technology

        • Pegasus™ Coating Technology

      • Premium Silicon Carbide

        • SUPERSiC<sup>®</sup> Silicon Carbide Components

        • SUPERSiC<sup>®</sup> Silicon Carbide

      • Advanced Cleaning Materials

    • Specialty Gases

      • Specialty Gas Mixtures

      • Gas Delivery Cylinder Systems

      • Gas Delivery Cabinet Systems

      • Gases

    • Wafer Handling

      • Wafer Processing

        • CMP Cleaning Brushes

        • CMP Pads

        • 300 mm Front Opening Unified Pods (FOUPs)

        • 200 mm Wafer Processing

        • 200 mm Accessories

        • 150 mm Wafer Processing

        • 150 mm and Smaller Wafer Carrier Accessories

        • 125 mm Wafer Processing

        • 100 mm Wafer Processing

        • 76.2 mm 3" Wafer Processing

        • 2.5" and Smaller Wafer Processing

        • Labware

        • Chucks

      • Wafer Shipping

        • 150 mm Wafer Shippers

        • 125 mm and Smaller Wafer Shippers

        • 300 mm Wafer Shippers

        • 200 mm Wafer Shippers

      • Finished Wafer Shipping

        • SmartStack® Contactless Horizontal Wafer Shipper

        • SmartStack® Horizontal Wafer Shippers

        • Film Frame Rings and Shippers

  • resources resources Resources
    • Reference Materials

    • Technical Information

      • Calculators and Tools

      • Chemical Compatibility

      • Chemlock® Filter Housing Technical Information

      • Component Technical Information

      • Entegris Test Standards and Protocols

      • FAQ

      • Formulas

      • Glossary

      • Material Properties and Safety

      • Particle Characterization Applications

    • Industry Insights

      • 3D NAND Solutions

      • Achieving Hydrogen Purity Standards for Fuel Cells

      • Advanced Coatings

      • Advanced Deposition Materials Innovation

      • Automotive Reliability

      • Cars and Chips: The Acceleration of Electronic Systems

      • Chasing the Perfect Pattern

      • Chemical Mechanical Planarization (CMP)

      • Clean Chemical Delivery

      • Clean Gas Delivery

      • CMP Process Monitoring

      • Cold Chain Bioprocessing

      • Dual Containment Tubing

      • Electrostatic Discharge (ESD) Prevention

      • Enabling Advanced Lithography

      • End-to-End Gas Filtration Solutions

      • Food and Beverage Contamination Control

      • Front-end to Back-end Solutions

      • Glass in Front; Glass in Back

      • High-Density Cell Banking

      • High Purity Chemical Manufacturing

      • Holistic Approach to Enabling Device Performance, Yield, and Reliability

      • Internet of Things

      • Low-Temperature Bioprocessing

      • More Than Just a Chip Tray

      • Operational Excellence

      • Pandemic Response

      • Particle Characterization

      • Pervasive Defectivity in Semiconductor Manufacturing

      • Protecting Your Data Center Environment from Gas-Phase Contamination

      • The Rare Air of the Semiconductor Fab

      • Silicon Carbide CMP Solutions

      • The Silicon Precursor Toolbox for Low-Temperature Deposition

      • Solid Precursors and Delivery Systems

      • Solutions in Advanced Logic

      • Solving Advanced Technology Challenges with Innovative Materials

      • Storage Class Memory

      • Targeted Contaminant Removal

      • Specialty Gases and Delivery Systems for Ion Implantation

      • Accelerating Speed-to-Yield with High-Purity Materials Solutions

    • Ensights (Blog)

    • Scientific Report

  • about us about us About Us
    • Corporate Overview

    • Corporate Social Responsibility

    • Careers

    • Events

    • Investor Relations

    • Legal/Trademark Notices

    • Locations

    • News Releases

    • Media Coverage

    • Entegris Blog

    • Manufacturing

Entegris i2M Center for Advanced Materials Science

PUBLISHED Jun 17, 2014

Facility Information
Location: 9 Crosby Drive, Bedford, MA
Size: 80,000 square feet
Approximate number of employees: 100 

Twitter: #i2MCenter

Overview

Entegris’ i2M Center for Advanced Materials Science (i2M Center) is one of the world’s most advanced centers for the research, development and manufacturing of filtration media technologies and proprietary, innovative low-temperature coating technologies. These products are core components for filtration and electrostatic clamp (E-Chucks) solutions used in semiconductor and other demanding manufacturing environments.

Located in Bedford, MA, the 80,000 square foot i2M Center includes approximately 6,000 square feet of rated cleanroom space. Entegris has invested more than $55 million in building infrastructure upgrades and equipment to create the i2M Center.

“i2M” stands for “ideas to market” and represents the focus of the new facility on innovation in the area of materials science. The i2M Center is home to approximately 100 employees, many of whom hold advanced engineering degrees in chemical engineering and related sciences.

i2M Center for Advanced Materials Science Grand Opening Video

Industry Need
As smart phones, tablets and other electronics become smaller, more powerful and consume less energy, the technologies that are being employed to manufacture those devices are pushing the boundaries of physics. Entegris is enabling those technologies by solving the most difficult purification, process control and material protection challenges in advanced semiconductor and electronics manufacturing.

Currently, semiconductor devices are manufactured using the most advanced processes and materials known to man and require levels of purity that measures contamination in parts per quadrillion (ppq). Achieving these precise levels of purity requires filtration solutions that can prevent nanolevel particles, bubbles and ions from reaching the semiconductor substrate during manufacturing. Polymeric membranes are the core material which is used to capture these contaminants. These solutions help advanced high-tech manufacturers improve productivity, performance and technology to develop next-generation semiconductors and electronics.

Related Facts

  • The level of contamination control required for advanced semiconductor technologies is far more stringent than in any other industry. Other industries deal with particle sizes in the 20 – 200 nanometer range (bacteria is 200 nanometers and the smallest virus is 20 nanometers). Entegris’ current line of filtration products helps control contamination from particles as small as 3 nanometers in size. This requires highly sophisticated and extremely advanced membrane filter manufacturing. 
  • For the next generation of semiconductor devices, the manufacturing environment must reach levels of purity that are measured in ppq. This means that the allowable level of contamination is one ppq, which is equivalent to one drop of water in a space the size of the Empire State Building.

Download the i2M Center Fact Sheet
Download the Entegris Infographic